Follow
Jian Kuang
Jian Kuang
Meta
Verified email at cse.cuhk.edu.hk - Homepage
Title
Cited by
Cited by
Year
An efficient layout decomposition approach for triple patterning lithography
J Kuang, EFY Young
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), 1-6, 2013
1052013
Ripple 2.0: High quality routability-driven placement via global router integration
X He, T Huang, WK Chow, J Kuang, KC Lam, W Cai, EFY Young
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
662013
RippleFPGA: A routability-driven placement for large-scale heterogeneous FPGAs
CW Pui, G Chen, WK Chow, KC Lam, J Kuang, P Tu, H Zhang, ...
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2016
592016
Cell density-driven detailed placement with displacement constraint
WK Chow, J Kuang, X He, W Cai, EFY Young
Proceedings of the 2014 on International symposium on physical design, 3-10, 2014
542014
PROS: A Plug-in for Routability Optimization applied in the State-of-the-art commercial EDA tool using deep learning
J Chen, J Kuang, G Zhao, DJH Huang, EFY Young
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-8, 2020
512020
RippleFPGA: Routability-driven simultaneous packing and placement for modern FPGAs
G Chen, CW Pui, WK Chow, KC Lam, J Kuang, EFY Young, B Yu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
472017
Simultaneous Template Optimization and Mask Assignment for DSA with Multiple Patterning
J Kuang, J Ye, EFY Young
IEEE/ACM Asia and South Pacific Design Automation Conference, 75 - 82, 2016
402016
A robust approach for process variation aware mask optimization
J Kuang, WK Chow, EFY Young
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
382015
A Unified Framework for Simultaneous Layout Decomposition and Mask Optimization
Y Ma, JR Gao, J Kuang, J Miao, B Yu
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided …, 2017
302017
Triple patterning lithography aware optimization for standard cell based design
J Kuang, WK Chow, EFY Young
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 108-115, 2014
182014
Minimizing thermal gradient and pumping power in 3D IC liquid cooling network design
G Chen, J Kuang, Z Zeng, H Zhang, EFY Young, B Yu
Proceedings of the 54th annual design automation conference 2017, 1-6, 2017
162017
Incorporating Cut Redistribution with Mask Assignment to Enable 1D Gridded Design
J Kuang, EFY Young
IEEE/ACM International Conference On Computer Aided Design, 2016
122016
An effective chemical mechanical polishing filling approach
C Liu, P Tu, P Wu, H Tang, Y Jiang, J Kuang, EFY Young
2015 IEEE Computer Society Annual Symposium on VLSI, 44-49, 2015
112015
An effective chemical mechanical polishing fill insertion approach
C Liu, P Tu, P Wu, H Tang, Y Jiang, J Kuang, EFY Young
ACM Transactions on Design Automation of Electronic Systems (TODAES) 21 (3 …, 2016
102016
A highly-efficient row-structure stencil planning approach for e-beam lithography with overlapped characters
J Kuang, EFY Young
Proceedings of the 2014 on International symposium on physical design, 109-116, 2014
102014
In-Route Pin Access-Driven Placement Refinement for Improved Detailed Routing Convergence
AB Kahng, J Kuang, WH Liu, B Xu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
92021
Fixed-parameter tractable algorithms for optimal layout decomposition and beyond
J Kuang, EFY Young
Proceedings of the 54th Annual Design Automation Conference 2017, 61, 2017
72017
STOMA: Simultaneous Template Optimization and Mask Assignment for Directed Self-Assembly Lithography with Multiple Patterning
J Kuang, J Ye, EFY Young
Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017
72017
Overlapping-aware throughput-driven stencil planning for e-beam lithography
J Kuang, EFY Young
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 254-261, 2014
42014
PROS 2.0: A plug-in for routability optimization and routed wirelength estimation using deep learning
J Chen, J Kuang, G Zhao, DJH Huang, EFY Young
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022
32022
The system can't perform the operation now. Try again later.
Articles 1–20