Follow
Hossein Sayadi
Title
Cited by
Cited by
Year
Ensemble Learning for Effective Run-Time Hardware-Based Malware Detection: A Comprehensive Analysis and Classification
H Sayadi, N Patel, SM P D, A Sasan, S Rafatirad, H Homayoun
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), 2018
1762018
Pyramid: Machine learning framework to estimate the optimal timing and resource usage of a high-level synthesis design
HM Makrani, F Farahmand, H Sayadi, S Bondi, SMP Dinakarrao, ...
2019 Conference on Field Programmable Logic and Applications (FPL), 397-403, 2019
842019
2smart: A two-stage machine learning-based approach for run-time specialized hardware-assisted malware detection
H Sayadi, HM Makrani, SMP Dinakarrao, T Mohsenin, A Sasan, ...
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 728-733, 2019
782019
Lightweight node-level malware detection and network-level malware confinement in iot networks
SMP Dinakarrao, H Sayadi, HM Makrani, C Nowzari, S Rafatirad, ...
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 776-781, 2019
672019
Machine learning-based approaches for energy-efficiency prediction and scheduling in composite cores architectures
H Sayadi, N Patel, A Sasan, H Homayoun
2017 IEEE international conference on computer design (ICCD), 129-136, 2017
652017
Adversarial attack on microarchitectural events based malware detectors
SMP Dinakarrao, S Amberkar, S Bhat, A Dhavlle, H Sayadi, A Sasan, ...
2019 56th Annual Design Automation Conference (DAC), 1-6, 2019
602019
Customized machine learning-based hardware-assisted malware detection in embedded devices
H Sayadi, HM Makrani, O Randive, SM PD, S Rafatirad, H Homayoun
2018 17th IEEE International Conference On Trust, Security And Privacy In …, 2018
602018
XPPE: Cross-Platform Performance Estimation of Hardware Accelerators Using Machine Learning
HM Makrani, H Sayadi, T Mohsenin, S Raftirad, H Homayoun
Design Automation Conference (ASP-DAC), 24th Asia and South Pacific, 2019
522019
Comprehensive Assessment of Run-Time Hardware-Supported Malware Detection Using General and Ensemble Learning
H Sayadi, SM P D, A Houmansadr, S Rafatirad, H Homayoun
ACM International Conference on Computing Frontiers, 2018
402018
SCARF: Detecting Side-Channel Attacks at Real-time using Low-level Hardware Features
H Wang, H Sayadi, S Rafatirad, A Sasan, H Homayoun
2020 26th IEEE International Symposium on On-Line Testing and Robust System …, 2020
372020
Mitigating Cache-Based Side-Channel Attacks through Randomization: A Comprehensive System and Architecture Level Analysis
H Wang, H Sayadi, T Mohsenin, L Zhao, A Sasan, S Rafatirad, ...
2020 IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020
322020
Advances and throwbacks in hardware-assisted security: special session
F Brasser, L Davi, A Dhavlle, T Frassetto, SMP Dinakarrao, S Rafatirad, ...
2018 International Conference on Compilers, Architecture and Synthesis for …, 2018
32*2018
Cognitive and scalable technique for securing IoT networks against malware epidemics
SMP Dinakarrao, X Guo, H Sayadi, C Nowzari, A Sasan, S Rafatirad, ...
IEEE Access 8, 138508-138528, 2020
282020
Comprehensive Evaluation of Machine Learning Countermeasures for Detecting Microarchitectural Side-Channel Attacks
H Wang, H Sayadi, A Sasan, S Rafatirad, H Homayoun
2020 Great Lakes Symposium on VLSI (GLSVLSI), 2020
272020
A data recomputation approach for reliability improvement of scratchpad memory in embedded systems
H Sayadi, H Farbeh, AMH Monazzah, SG Miremadi
Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2014 …, 2014
262014
When machine learning meets hardware cybersecurity: Delving into accurate zero-day malware detection
Z He, T Miari, HM Makrani, M Aliasgari, H Homayoun, H Sayadi
2021 22nd International Symposium on Quality Electronic Design (ISQED), 85-90, 2021
252021
Recent Advancements in Microarchitectural Security: Review of Machine Learning Countermeasures
H Sayadi, H Wang, T Miari, H Mohammadi Makrani, M Aliasgari, ...
2020 63rd IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), 2020
242020
Energy-aware and machine learning-based resource provisioning of in-memory analytics on cloud
HM Makrani, H Sayadi, D Motwani, H Wang, S Rafatirad, H Homayoun
Proceedings of the ACM Symposium on Cloud Computing, 517-517, 2018
242018
Power conversion efficiency-aware mapping of multithreaded applications on heterogeneous architectures: A comprehensive parameter tuning
H Sayadi, D Pathak, I Savidis, H Homayoun
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 70-75, 2018
242018
Hybrid-Shield: Accurate and Efficient Cross-Layer Countermeasure for Run-Time Detection and Mitigation of Cache-Based Side-Channel Attacks
H Wang, H Sayadi, A Sasan, S Rafatirad, H Homayoun
2020 39th IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2020
232020
The system can't perform the operation now. Try again later.
Articles 1–20