Suivre
Mohamed S. Abdelfattah
Mohamed S. Abdelfattah
Adresse e-mail validée de cornell.edu - Page d'accueil
Titre
Citée par
Citée par
Année
Zero-cost proxies for lightweight nas
MS Abdelfattah, A Mehrotra, Ł Dudziak, ND Lane
arXiv preprint arXiv:2101.08134, 2021
2392021
Brp-nas: Prediction-based nas using gcns
L Dudziak, T Chau, M Abdelfattah, R Lee, H Kim, N Lane
Advances in Neural Information Processing Systems 33, 10480-10490, 2020
1892020
Gzip on a chip: High performance lossless data compression on fpgas using opencl
MS Abdelfattah, A Hagiescu, D Singh
Proceedings of the international workshop on openCL 2013 & 2014, 1-9, 2014
1382014
DLA: Compiler and FPGA overlay for neural network inference acceleration
MS Abdelfattah, D Han, A Bitar, R DiCecco, S O'Connell, N Shanker, ...
2018 28th International Conference on Field Programmable Logic and …, 2018
992018
Field Programmable Gate-Array with Embedded Network-on-Chip Hardware and Design Flow
MS Abdelfattah, VT Betz
US Patent App. 14/060,253, 2015
962015
Best of both worlds: Automl codesign of a cnn and its hardware accelerator
MS Abdelfattah, Ł Dudziak, T Chau, R Lee, H Kim, ND Lane
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
842020
NAS-Bench-ASR: Reproducible neural architecture search for speech recognition
A Mehrotra, AGCP Ramos, S Bhattacharya, Ł Dudziak, R Vipperla, ...
International Conference on Learning Representations, 2020
682020
Design tradeoffs for hard and soft FPGA-based Networks-on-Chip
MS Abdelfattah, V Betz
International Conference on Field-Programmable Technology (FPT), 95-103, 2012
622012
Journey towards tiny perceptual super-resolution
R Lee, Ł Dudziak, M Abdelfattah, SI Venieris, H Kim, H Wen, ND Lane
european conference on computer vision, 85-102, 2020
542020
The Case for Embedded Networks-on-Chip on FPGAs
M Abdelfattah, V Betz
IEEE Micro 34 (1), 1-1, 2014
51*2014
The Power of Communication: Energy-Efficient NoCs for FPGAs
MS Abdelfattah, V Betz
International Conference on Field-Programmable Logic and Applications (FPL), 2013
402013
Take the highway: Design for embedded NoCs on FPGAs
MS Abdelfattah, A Bitar, V Betz
Proceedings of the 2015 ACM/SIGDA International Symposium on Field …, 2015
392015
Networks-on-Chip for FPGAs: Hard, Soft or Mixed?
MS Abdelfattah, V Betz
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 7 (3), 20, 2014
312014
Shrinkml: End-to-end asr model compression using reinforcement learning
Ł Dudziak, MS Abdelfattah, R Vipperla, S Laskaridis, ND Lane
arXiv preprint arXiv:1907.03540, 2019
242019
Bringing programmability to the data plane: Packet processing with a NoC-enhanced FPGA
A Bitar, MS Abdelfattah, V Betz
2015 International Conference on Field Programmable Technology (FPT), 24-31, 2015
242015
Design and applications for embedded networks-on-chip on FPGAs
MS Abdelfattah, A Bitar, V Betz
IEEE Transactions on Computers 66 (6), 1008-1021, 2016
232016
Adaptable butterfly accelerator for attention-based NNs via hardware and algorithm co-design
H Fan, T Chau, SI Venieris, R Lee, A Kouris, W Luk, ND Lane, ...
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 599-615, 2022
212022
Zerowaste dataset: Towards deformable object segmentation in cluttered scenes
D Bashkirova, M Abdelfattah, Z Zhu, J Akl, F Alladkani, P Hu, V Ablavsky, ...
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern …, 2022
212022
Power analysis of embedded NoCs on FPGAs and comparison with custom buses
MS Abdelfattah, V Betz
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (1), 165-177, 2015
182015
Transparent Structural Online Test for Reconfigurable Systems
MS Abdelfattah, L Bauer, C Braun, ME Imhof, MA Kochte, H Zhang, ...
International On-Line Test Symposium (IOLTS), 2012
182012
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20