Follow
Nicolas VENTROUX
Title
Cited by
Cited by
Year
Fast virtual prototyping for embedded computing systems design and exploration
A Charif, G Busnot, R Mameesh, T Sassolas, N Ventroux
Proceedings of the Rapid Simulation and Performance Evaluation: Methods and …, 2019
512019
Sesam: An mpsoc simulation environment for dynamic application processing
N Ventroux, A Guerre, T Sassolas, L Moutaoukil, G Blanc, C Bechara, ...
2010 10th IEEE International Conference on Computer and Information …, 2010
502010
Statically allocating set of tasks to particular cluster and dynamically allocating each task to different processing units within cluster
F Blanc, T Collette, R David, V David, M Harrand, S Louise, N Ventroux
US Patent 9,164,807, 2015
492015
A new parallel SystemC kernel leveraging manycore architectures
N Ventroux, T Sassolas
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 487-492, 2016
352016
Method for configuring resources and scheduling task processing with an order of precedence
N Ventroux, S Chevobbe, F Blanc, T Collette
US Patent 8,522,243, 2013
332013
A small footprint interleaved multithreaded processor for embedded systems
C Bechara, A Berhault, N Ventroux, S Chevobbe, Y Lhuillier, R David, ...
2011 18th IEEE International Conference on Electronics, Circuits, and …, 2011
332011
SESAM/Par4All: a tool for joint exploration of MPSoC architectures and dynamic dataflow code generation
N Ventroux, T Sassolas, A Guerre, B Creusillet, R Keryell
Proceedings of the 2012 Workshop on Rapid Simulation and Performance …, 2012
292012
Hierarchical network-on-chip for embedded many-core architectures
A Guerre, N Ventroux, R David, A Merigot
2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, 189-196, 2010
242010
A systemc TLM framework for distributed simulation of complex systems with unpredictable communication
J Peeters, N Ventroux, T Sassolas, L Lacassagne
Proceedings of the 2011 Conference on Design & Architectures for Signal …, 2011
232011
Stereovision-based 3D obstacle detection for automotive safety driving assistance
N Ventroux, R Schmit, F Pasquet, PE Viel, S Guyetant
2009 12th International IEEE Conference on Intelligent Transportation …, 2009
212009
Highly-parallel special-purpose multicore architecture for SystemC/TLM simulations
N Ventroux, J Peeters, T Sassolas, JC Hoe
2014 International Conference on Embedded Computer Systems: Architectures …, 2014
202014
Analysis of on-line self-testing policies for real-time embedded multiprocessors in DSM technologies
O Héron, J Guilhemsang, N Ventroux, A Giulieri
2010 IEEE 16th International On-Line Testing Symposium, 49-55, 2010
192010
A Low Complex Scheduling Algorithm for Multi-processor System-on-Chip.
N Ventroux, F Blanc, D Lavenier
Parallel and Distributed Computing and Networks, 540-545, 2005
182005
Data flow oriented hardware design of RNS-based polynomial multiplication for SHE acceleration
J Cathébras, A Carbon, P Milder, R Sirdey, N Ventroux
IACR Transactions on Cryptographic Hardware and Embedded Systems, 69-88, 2018
172018
Method for selecting a resource from a plurality of processing resources so that the probable times to failure of the resources evolve in a substantially identical manner
O Heron, J Guilhemsang, T Gupta, N Ventroux
US Patent App. 13/520,551, 2013
172013
Impact of the application activity on intermittent faults in embedded systems
J Guilhemsang, O Héron, N Ventroux, O Goncalves, A Giulieri
29th VLSI Test Symposium, 191-196, 2011
162011
High level power and energy exploration using ArchC
T Gupta, C Bertolini, O Héron, N Ventroux, T Zimmer, F Marc
2010 22nd International Symposium on Computer Architecture and High …, 2010
162010
PNeuro: A scalable energy-efficient programmable hardware accelerator for neural networks
A Carbon, JM Philippe, O Bichler, R Schmit, B Tain, D Briand, N Ventroux, ...
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2018
152018
Method and system for conducting intensive multitask and multiflow calculation in real-time
R David, D Vincent, N Ventroux, T Collette
US Patent 9,052,957, 2015
142015
Scmp architecture: an asymmetric multiprocessor system-on-chip for dynamic applications
N Ventroux, R David
Proceedings of the Second International Forum on Next-Generation Multicore …, 2010
142010
The system can't perform the operation now. Try again later.
Articles 1–20