Suivre
srivatsa rangachar srinivasa
srivatsa rangachar srinivasa
Research Scientist , Intel Labs
Aucune adresse e-mail validée
Titre
Citée par
Citée par
Année
Back-end-of-line compatible transistors for monolithic 3-D integration
S Datta, S Dutta, B Grisafe, J Smith, S Srinivasa, H Ye
IEEE micro 39 (6), 8-15, 2019
892019
Influence of body effect on sample-and-hold circuit design using negative capacitance FET
Y Liang, X Li, S George, S Srinivasa, Z Zhu, SK Gupta, S Datta, ...
IEEE Transactions on Electron Devices 65 (9), 3909-3914, 2018
422018
Compact 3-D-SRAM Memory With Concurrent Row and Column Data Access Capability Using Sequential Monolithic 3-D Integration
VN Srivatsa rangachar srinivasa, Xueqing Li, Meng-Fan Chang, John Sampson ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13, 2018
41*2018
Look-up table based energy efficient processing in cache support for neural network acceleration
AK Ramanathan, GS Kalsi, S Srinivasa, TM Chandran, KR Pillai, OJ Omer, ...
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
402020
TSV-free FinFET-based Monolithic 3D+-IC with computing-in-memory SRAM cell for intelligent IoT devices
FK Hsueh, HY Chiu, CH Shen, JM Shieh, YT Tang, CC Yang, HC Chen, ...
2017 IEEE International Electron Devices Meeting (IEDM), 12.6. 1-12.6. 4, 2017
392017
Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors
K Ma, X Li, SR Srinivasa, Y Liu, J Sampson, Y Xie, V Narayanan
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 678-683, 2017
392017
Cryogenic memory technologies
S Alam, MS Hossain, SR Srinivasa, A Aziz
Nature Electronics 6 (3), 185-198, 2023
352023
Emerging reconfigurable nanotechnologies: Can they support future electronics?
S Rai, S Srinivasa, P Cadareanu, X Yin, XS Hu, PE Gaillardon, ...
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
312018
ROBIN: Monolithic-3D SRAM for enhanced robustness with in-memory computation support
S Srinivasa, AK Ramanathan, X Li, WH Chen, SK Gupta, MF Chang, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 66 (7), 2533-2545, 2019
302019
A monolithic-3D SRAM design with enhanced robustness and in-memory computation support
S Srinivasa, AK Ramanathan, X Li, WH Chen, FK Hsueh, CC Yang, ...
Proceedings of the International Symposium on Low Power Electronics and …, 2018
252018
Symmetric 2-D-memory access to multidimensional data
S George, X Li, MJ Liao, K Ma, S Srinivasa, K Mohan, A Aziz, J Sampson, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (6 …, 2018
232018
Monolithic-3D integration augmented design techniques for computing in SRAMs
S Srinivasa, WH Chen, YN Tu, MF Chang, J Sampson, V Narayanan
2019 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2019
202019
CAPE: A content-addressable processing engine
H Caminal, K Yang, S Srinivasa, AK Ramanathan, K Al-Hawaj, T Wu, ...
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
192021
Correlated material enhanced SRAMs with robust low power operation
S Srinivasa, A Aziz, N Shukla, X Li, J Sampson, S Datta, JP Kulkarni, ...
IEEE Transactions on Electron Devices 63 (12), 4744-4752, 2016
162016
Monolithic 3D+-IC based massively parallel compute-in-memory macro for accelerating database and machine learning primitives
AK Ramanathan, SS Rangachar, JM Hung, CY Lee, CX Xue, SP Huang, ...
2020 IEEE International Electron Devices Meeting (IEDM), 28.5. 1-28.5. 4, 2020
142020
Monolithic 3D+ -IC based Reconfigurable Compute-in-Memory SRAM Macro
S Srinivasa, YN Tu, X Si, CX Xue, CY Lee, FK Hsueh, CH Shen, JM Shieh, ...
2019 Symposium on VLSI Technology, T32-T33, 2019
132019
SRAMs and DRAMs with separate read–write ports augmented by phase transition materials
Z Shen, S Srinivasa, A Aziz, S Datta, V Narayanan, SK Gupta
IEEE Transactions on Electron Devices 66 (2), 929-937, 2019
112019
Ultra-Low Power 3D NC-FinFET-based Monolithic 3D+ -IC with Computing-in-Memory for Intelligent IoT Devices
FK Hsueh, WH Chen, KS Li, CH Shen, JM Shieh, CY Lee, BY Chen, ...
2018 IEEE International Electron Devices Meeting (IEDM), 15.1. 1-15.1. 4, 2018
102018
Harnessing emerging technology for compute-in-memory support
N Jao, AK Ramanathan, S Srinivasa, S George, J Sampson, V Narayanan
2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 447-452, 2018
92018
Improving FPGA design with monolithic 3D integration using high dense inter-stack via
SR Srinivasa, K Mohan, WH Chen, KH Hsu, X Li, MF Chang, SK Gupta, ...
2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 128-133, 2017
82017
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20