Follow
Xiaowen Wu
Xiaowen Wu
PhD Candidate, ECE, HKUST
No verified email - Homepage
Title
Cited by
Cited by
Year
A NoC traffic suite based on real applications
W Liu, J Xu, X Wu, Y Ye, X Wang, W Zhang, M Nikdast, Z Wang
2011 IEEE computer society annual symposium on VLSI, 66-71, 2011
1492011
Crosstalk noise and bit error rate analysis for optical network-on-chip
Y Xie, M Nikdast, J Xu, W Zhang, Q Li, X Wu, Y Ye, X Wang, W Liu
Proceedings of the 47th Design Automation Conference, 657-660, 2010
1492010
Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip
Y Xie, M Nikdast, J Xu, X Wu, W Zhang, Y Ye, X Wang, Z Wang, W Liu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (10 …, 2012
952012
A hierarchical hybrid optical-electronic network-on-chip
KH Mo, Y Ye, X Wu, W Zhang, W Liu, J Xu
2010 IEEE Computer Society Annual Symposium on VLSI, 327-332, 2010
922010
A torus-based hierarchical optical-electronic network-on-chip for multiprocessor system-on-chip
Y Ye, J Xu, X Wu, W Zhang, W Liu, M Nikdast
ACM Journal on Emerging Technologies in Computing Systems (JETC) 8 (1), 1-26, 2012
832012
Suor: Sectioned undirectional optical ring for chip multiprocessor
X Wu, J Xu, Y Ye, Z Wang, M Nikdast, X Wang
ACM Journal on Emerging Technologies in Computing Systems (JETC) 10 (4), 1-25, 2014
692014
UNION: A unified inter/intrachip optical network for chip multiprocessors
X Wu, Y Ye, J Xu, W Zhang, W Liu, M Nikdast, X Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (5 …, 2013
632013
System-level modeling and analysis of thermal effects in optical networks-on-chip
Y Ye, J Xu, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (2), 292-305, 2012
622012
Satisfiability modulo graph theory for task mapping and scheduling on multiprocessor systems
W Liu, Z Gu, J Xu, X Wu, Y Ye
IEEE Transactions on Parallel and Distributed Systems 22 (8), 1382-1389, 2010
572010
Fat-tree-based optical interconnection networks under crosstalk noise constraint
M Nikdast, J Xu, LHK Duong, X Wu, Z Wang, X Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (1), 156-169, 2014
492014
An inter/intra-chip optical network for manycore processors
X Wu, J Xu, Y Ye, X Wang, M Nikdast, Z Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (4), 678-691, 2014
442014
A case study of signal-to-noise ratio in ring-based optical networks-on-chip
LHK Duong, M Nikdast, S Le Beux, J Xu, X Wu, Z Wang, P Yang
IEEE Design & Test 31 (5), 55-65, 2014
412014
Modeling and analysis of thermal effects in optical networks-on-chip
Y Ye, J Xu, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu
2011 IEEE Computer Society Annual Symposium on VLSI, 254-259, 2011
232011
Qos router with both soft and hard guarantee for network-on-chip
X Wu, Y Wu, L Wang, X Yang
2009 NORCHIP, 1-6, 2009
32009
The system can't perform the operation now. Try again later.
Articles 1–14