Follow
Qiang Li
Qiang Li
Professor, Institute of Integrated Circuits and Systems, U. Electronic Sci. & Tech. China (UESTC)
Verified email at uestc.edu.cn - Homepage
Title
Cited by
Cited by
Year
A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3 ns and 55.8 TOPS/W fully parallel product-sum operation for binary DNN edge processors
WS Khwa, JJ Chen, JF Li, X Si, EY Yang, X Sun, R Liu, PY Chen, Q Li, ...
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 496-498, 2018
2462018
24.5 A Twin-8T SRAM Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning
X Si, JJ Chen, YN Tu, WH Huang, JH Wang, YC Chiu, WC Wei, SY Wu, ...
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 396-398, 2019
2222019
15.5 A 28nm 64Kb 6T SRAM Computing-in-Memory Macro with 8b MAC Operation for AI Edge Chips
X Si, YN Tu, WH Huanq, JW Su, PJ Lu, JH Wang, TW Liu, SY Wu, R Liu, ...
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 246-248, 2020
1732020
CMOS T/R switch design: Towards ultra-wideband and higher frequency
Q Li, YP Zhang
IEEE journal of solid-state circuits 42 (3), 563-570, 2007
1722007
A Twin-8T SRAM Computation-in-Memory Unit-Macro for Multibit CNN-Based AI Edge Processors
X Si, JJ Chen, YN Tu, WH Huang, JH Wang, YC Chiu, WC Wei, SY Wu, ...
IEEE Journal of Solid-State Circuits 55 (1), 189-202, 2019
1512019
A Dual-Split 6T SRAM-Based Computing-in-Memory Unit-Macro With Fully Parallel Product-Sum Operation for Binarized DNN Edge Processors
X Si, WS Khwa, JJ Chen, JF Li, X Sun, R Liu, S Yu, H Yamauchi, Q Li, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 66 (11), 4172-4185, 2019
1242019
A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13- CMOS
Q Li, YP Zhang
IEEE Transactions on Microwave Theory and Techniques 55 (10), 2015-2023, 2007
1172007
High-speed low-power common-mode insensitive dynamic comparator
J Gao, G Li, Q Li
Electronics Letters 51 (2), 134-136, 2015
862015
Performance of UWB impulse radio with planar monopoles over on-human-body propagation channel for wireless body area networks
YP Zhang, Q Li
IEEE Transactions on Antennas and Propagation 55 (10), 2907-2914, 2007
832007
Inverter-Based Subthreshold Amplifier Techniques and Their Application in 0.3-V -Modulators
L Lv, X Zhou, Z Qiao, Q Li
IEEE Journal of Solid-State Circuits 54 (5), 1436-1445, 2019
742019
16.6-and 28-GHz fully integrated CMOS RF switches with improved body floating
Q Li, YP Zhang, KS Yeo, WM Lim
IEEE Transactions on Microwave Theory and Techniques 56 (2), 339-345, 2008
682008
A Local Computing Cell and 6T SRAM-Based Computing-in-Memory Macro With 8-b MAC Operation for Edge AI Chips
X Si, YN Tu, WH Huang, JW Su, PJ Lu, JH Wang, TW Liu, SY Wu, R Liu, ...
IEEE Journal of Solid-State Circuits 56 (9), 2817-2831, 2021
672021
A 0.4 V 430nA quiescent current NMOS digital LDO with NAND-based analog-assisted loop in 28nm CMOS
X Ma, Y Lu, RP Martins, Q Li
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 306-308, 2018
672018
Ultrafast response flexible breath sensor based on vanadium dioxide
F Liao, Z Zhu, Z Yan, G Yao, Z Huang, M Gao, T Pan, Y Zhang, Q Li, ...
Journal of breath research 11 (3), 036002, 2017
592017
A wearable ear-EEG recording system based on dry-contact active electrodes
X Zhou, Q Li, S Kilsgaard, F Moradi, SL Kappel, P Kidmose
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
582016
A Fully Integrated X-Band Phased-Array Transceiver in 0.13 um SiGe BiCMOS Technology
C Liu, Q Li, Y Li, XD Deng, X Li, H Liu, YZ Xiong
IEEE Transactions on Microwave Theory and Techniques 64 (2), 575-584, 2016
56*2016
A differential CMOS T/R switch for multistandard applications
YP Zhang, Q Li, W Fan, CH Ang, H Li
IEEE Transactions on Circuits and Systems II: Express Briefs 53 (8), 782-786, 2006
542006
A computing-in-memory macro based on three-dimensional resistive random-access memory
Q Huo, Y Yang, Y Wang, D Lei, X Fu, Q Ren, X Xu, Q Luo, G Xing, C Chen, ...
Nature Electronics 5 (7), 469-477, 2022
532022
A Ka-Band Single-Chip SiGe BiCMOS Phased-Array Transmit/Receive Front-End
C Liu, Q Li, Y Li, XD Deng, H Tang, R Wang, H Liu, YZ Xiong
IEEE Transactions on Microwave Theory and Techniques 64 (11), 3667-3677, 2016
522016
A 0.5–1.1-V Adaptive Bypassing SAR ADC Utilizing the Oscillation-Cycle Information of a VCO-Based Comparator
Z Ding, X Zhou, Q Li
IEEE Journal of Solid-State Circuits 54 (4), 968-977, 2019
462019
The system can't perform the operation now. Try again later.
Articles 1–20