Follow
Zhenhua Zhu (朱振华)
Zhenhua Zhu (朱振华)
Verified email at mails.tsinghua.edu.cn - Homepage
Title
Cited by
Cited by
Year
Time: A training-in-memory architecture for memristor-based deep neural networks
M Cheng, L Xia, Z Zhu, Y Cai, Y Xie, Y Wang, H Yang
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
1332017
A configurable multi-precision CNN computing framework based on single bit RRAM
Z Zhu, H Sun, Y Lin, G Dai, L Xia, S Han, Y Wang, H Yang
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
832019
TIME: A training-in-memory architecture for RRAM-based deep neural networks
M Cheng, L Xia, Z Zhu, Y Cai, Y Xie, Y Wang, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
712018
Learning the sparsity for ReRAM: Mapping and pruning sparse neural network for ReRAM based accelerator
J Lin, Z Zhu, Y Wang, Y Xie
Proceedings of the 24th Asia and South Pacific Design Automation Conference …, 2019
672019
Mnsim 2.0: A behavior-level modeling tool for memristor-based neuromorphic computing systems
Z Zhu, H Sun, K Qiu, L Xia, G Krishnan, G Dai, D Niu, X Chen, XS Hu, ...
Proceedings of the 2020 on Great Lakes Symposium on VLSI, 83-88, 2020
572020
Mixed size crossbar based RRAM CNN accelerator with overlapped mapping method
Z Zhu, J Lin, M Cheng, L Xia, H Sun, X Chen, Y Wang, H Yang
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
422018
Memristor‐based efficient in‐memory logic for cryptologic and arithmetic applications
L Xu, R Yuan, Z Zhu, K Liu, Z Jing, Y Cai, Y Wang, Y Yang, R Huang
Advanced Materials Technologies 4 (7), 1900212, 2019
382019
An energy-efficient quantized and regularized training framework for processing-in-memory accelerators
H Sun, Z Zhu, Y Cai, X Chen, Y Wang, H Yang
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 325-330, 2020
302020
Training low bitwidth convolutional neural network on RRAM
Y Cai, T Tang, L Xia, M Cheng, Z Zhu, Y Wang, H Yang
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 117-122, 2018
292018
Edge learning using a fully integrated neuro-inspired memristor chip
W Zhang, P Yao, B Gao, Q Liu, D Wu, Q Zhang, Y Li, Q Qin, J Li, Z Zhu, ...
Science 381 (6663), 1205-1211, 2023
282023
Dimmining: pruning-efficient and parallel graph mining on near-memory-computing
G Dai, Z Zhu, T Fu, C Wei, B Wang, X Li, Y Xie, H Yang, Y Wang
Proceedings of the 49th Annual International Symposium on Computer …, 2022
282022
Enabling lower-power charge-domain nonvolatile in-memory computing with ferroelectric FETs
G Yin, Y Cai, J Wu, Z Duan, Z Zhu, Y Liu, Y Wang, H Yang, X Li
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (7), 2262-2266, 2021
252021
Efficient 16 Boolean logic and arithmetic based on bipolar oxide memristors
R Yuan, M Ma, L Xu, Z Zhu, Q Duan, T Zhang, Y Zhu, Y Wang, R Huang, ...
Science China Information Sciences 63, 1-8, 2020
182020
FTT-NAS: Discovering fault-tolerant convolutional neural architecture
X Ning, G Ge, W Li, Z Zhu, Y Zheng, X Chen, Z Gao, Y Wang, H Yang
ACM Transactions on Design Automation of Electronic Systems (TODAES) 26 (6 …, 2021
162021
Towards lower bit multiplication for convolutional neural network training
K Zhong, T Zhao, X Ning, S Zeng, K Guo, Y Wang, H Yang
arXiv preprint arXiv:2006.02804 3 (4), 2020
15*2020
Rescuing memristor-based computing with non-linear resistance levels
J Lin, L Xia, Z Zhu, H Sun, Y Cai, H Gao, M Cheng, X Chen, Y Wang, ...
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 407-412, 2018
152018
Rerec: In-reram acceleration with access-aware mapping for personalized recommendation
Y Wang, Z Zhu, F Chen, M Ma, G Dai, Y Wang, H Li, Y Chen
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
132021
Hdc-im: Hyperdimensional computing in-memory architecture based on rram
J Liu, M Ma, Z Zhu, Y Wang, H Yang
2019 26th IEEE International Conference on Electronics, Circuits and Systems …, 2019
122019
Gibbon: Efficient co-exploration of NN model and processing-in-memory architecture
H Sun, C Wang, Z Zhu, X Ning, G Dai, H Yang, Y Wang
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 867-872, 2022
112022
Security enhancement for rram computing system through obfuscating crossbar row connections
M Zou, Z Zhu, Y Cai, J Zhou, C Wang, Y Wang
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 466-471, 2020
102020
The system can't perform the operation now. Try again later.
Articles 1–20