Suivre
Thierry Tambe
Thierry Tambe
Research Scientist, NVIDIA
Adresse e-mail validée de nvidia.com - Page d'accueil
Titre
Citée par
Citée par
Année
Edgebert: Sentence-level energy optimizations for latency-aware multi-task nlp inference
T Tambe, C Hooper, L Pentecost, T Jia, EY Yang, M Donato, V Sanh, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
792021
Masr: A modular accelerator for sparse rnns
U Gupta, B Reagen, L Pentecost, M Donato, T Tambe, AM Rush, GY Wei, ...
2019 28th International Conference on Parallel Architectures and Compilation …, 2019
592019
Algorithm-hardware co-design of adaptive floating-point encodings for resilient deep learning inference
T Tambe, EY Yang, Z Wan, Y Deng, VJ Reddi, A Rush, D Brooks, GY Wei
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
542020
9.8 A 25mm2 SoC for IoT Devices with 18ms Noise-Robust Speech-to-Text Latency via Bayesian Speech Denoising and Attention-Based Sequence-to-Sequence …
T Tambe, EY Yang, GG Ko, Y Chai, C Hooper, M Donato, PN Whatmough, ...
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 158-160, 2021
332021
Robomorphic computing: a design methodology for domain-specific accelerators parameterized by robot morphology
SM Neuman, B Plancher, T Bourgeat, T Tambe, S Devadas, VJ Reddi
Proceedings of the 26th ACM International Conference on Architectural …, 2021
312021
Adaptivfloat: A floating-point based data type for resilient deep learning inference
T Tambe, EY Yang, Z Wan, Y Deng, VJ Reddi, A Rush, D Brooks, GY Wei
arXiv preprint arXiv:1909.13271, 2019
282019
A 3mm2 Programmable Bayesian Inference Accelerator for Unsupervised Machine Perception using Parallel Gibbs Sampling in 16nm
GG Ko, Y Chai, M Donato, PN Whatmough, T Tambe, RA Rutenbar, ...
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
122020
EdgeBERT: Optimizing On-chip inference for multi-task NLP
T Tambe, C Hooper, L Pentecost, EY Yang, M Donato, V Sanh, AM Rush, ...
arXiv preprint arXiv:2011.14203, 2020
122020
Autosoc: Automating algorithm-soc co-design for aerial robots
S Krishnan, T Tambe, Z Wan, VJ Reddi
arXiv preprint arXiv:2109.05683, 2021
82021
Goldeneye: A platform for evaluating emerging numerical data formats in dnn accelerators
A Mahmoud, T Tambe, T Aloui, D Brooks, GY Wei
2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems …, 2022
72022
A 16-nm soc for noise-robust speech and nlp edge ai inference with bayesian sound source separation and attention-based dnns
T Tambe, EY Yang, GG Ko, Y Chai, C Hooper, M Donato, PN Whatmough, ...
IEEE Journal of Solid-State Circuits 58 (2), 569-581, 2022
72022
22.9 A 12nm 18.1 TFLOPs/W sparse transformer processor with entropy-based early exit, mixed-precision predication and fine-grained power management
T Tambe, J Zhang, C Hooper, T Jia, PN Whatmough, J Zuckerman, ...
2023 IEEE International Solid-State Circuits Conference (ISSCC), 342-344, 2023
62023
Study of posit numeric in speech recognition neural inference
Z Wan, E Mibuari, EY Yang, T Tambe
Harvard Univ., Cambridge, MA, USA, Tech. Rep. CS247r, 2018
62018
ASAP: automatic synthesis of area-efficient and precision-aware CGRAs
C Tan, T Tambe, J Zhang, B Fang, T Geng, GY Wei, D Brooks, A Tumeo, ...
Proceedings of the 36th ACM International Conference on Supercomputing, 1-13, 2022
52022
Specialized accelerators and compiler flows: Replacing accelerator APIs with a formal software/hardware interface
BY Huang, S Lyubomirsky, Y Li, M He, T Tambe, GH Smith, A Gaonkar, ...
arXiv preprint arXiv:2203.00218, 2022
42022
A scalable bayesian inference accelerator for unsupervised learning
G Ko, Y Chai, M Donato, PN Whatmough, T Tambe, RA Rutenbar, GY Wei, ...
2020 IEEE Hot Chips 32 Symposium (HCS), 1-27, 2020
42020
Camel: Co-designing ai models and embedded drams for efficient on-device learning
SQ Zhang, T Tambe, N Cuevas, GY Wei, D Brooks
arXiv preprint arXiv:2305.03148, 2023
32023
SM6: A 16nm System-on-Chip for Accurate and Noise-Robust Attention-Based NLP Applications : The 33rd Hot Chips Symposium – August 22-24, 2021
T Tambe, EY Yang, GG Ko, Y Chai, C Hooper, M Donato, PN Whatmough, ...
2021 IEEE Hot Chips 33 Symposium (HCS), 1-13, 2021
22021
VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning
YS Hsiao, SKS Hari, B Sundaralingam, J Yik, T Tambe, C Sakr, ...
2023 IEEE/RSJ International Conference on Intelligent Robots and Systems …, 2023
12023
Quantifying and maximizing the benefits of back-end noise adaption on attention-based speech recognition models
C Hooper, T Tambe, GY Wei
arXiv preprint arXiv:2105.01134, 2021
12021
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20