Suivre
Alexandre de Morais Amory
Alexandre de Morais Amory
ETAS (Bosch), Torino, Italy
Aucune adresse e-mail validée - Page d'accueil
Titre
Citée par
Citée par
Année
A scalable test strategy for network-on-chip routers
AM Amory, E Brião, É Cota, M Lubaszewski, FG Moraes
IEEE International Conference on Test, 2005., 9 pp.-599, 2005
1582005
A survey on unmanned surface vehicles for disaster robotics: Main challenges and directions
VAM Jorge, R Granada, RG Maidana, DA Jurak, G Heck, APF Negreiros, ...
Sensors 19 (3), 702, 2019
1472019
Reliability, Availability and Serviceability of Networks-on-chip
É Cota, A de Morais Amory, MS Lubaszewski
Springer Science & Business Media, 2011
142*2011
A high-fault-coverage approach for the test of data, control and handshake interconnects in mesh networks-on-chip
E Cota, FL Kastensmidt, M Cassel, M Herve, P Almeida, P Meirelles, ...
IEEE Transactions on Computers 57 (9), 1202-1215, 2008
962008
Wrapper design for the reuse of a bus, network-on-chip, or other functional interconnect as test access mechanism
AM Amory, K Goossens, EJ Marinissen, M Lubaszewski, F Moraes
IET Computers & Digital Techniques 1 (3), 197-206, 2007
88*2007
Unmanned surface vehicle simulator with realistic environmental disturbances
M Paravisi, D H. Santos, V Jorge, G Heck, LM Gonçalves, A Amory
Sensors 19 (5), 1068, 2019
612019
Topology-agnostic fault-tolerant NoC routing method
E Wachter, A Erichsen, A Amory, F Moraes
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
592013
Multi-task dynamic mapping onto NoC-based MPSoCs
M Mandelli, A Amory, L Ost, FG Moraes
Proceedings of the 24th symposium on Integrated circuits and systems design …, 2011
512011
Using the CAN protocol and reconfigurable computing technology for Web-based smart house automation
F Moraes, A Amory, N Calazans, E Bezerra, J Petrini
Symposium on Integrated Circuits and Systems Design, 38-43, 2001
352001
A heterogeneous and distributed co-simulation environment [hardware/software]
A Amory, F Moraes, L Oliveira, N Calazans, F Hessel
Proceedings. 15th Symposium on Integrated Circuits and Systems Design, 115-120, 2002
322002
Estimating circuit fault-tolerance by means of transient-fault injection in VHDL
F Vargas, A Amory, R Velazco
Proceedings 6th IEEE International On-Line Testing Workshop (Cat. No …, 2000
31*2000
DfT for the reuse of networks-on-chip as test access mechanism
AM Amory, F Ferlini, M Lubaszewski, F Moraes
25th IEEE VLSI Test Symposium (VTS'07), 435-440, 2007
302007
Reducing test time with processor reuse in network-on-chip based systems
AM Amory, É Cota, M Lubaszewski, FG Moraes
Proceedings of the 17th symposium on Integrated circuits and system design …, 2004
302004
Redefining and testing interconnect faults in mesh nocs
E Cota, FL Kastensmidt, A Amory, M Cassel, M Lubaszewski, P Meirelles
2007 IEEE International Test Conference, 1-10, 2007
282007
A new test scheduling algorithm based on networks-on-chip as test access mechanisms
AM Amory, C Lazzari, MS Lubaszewski, FG Moraes
Journal of Parallel and Distributed Computing 71 (5), 675-686, 2011
212011
Sistema Integrado e Multiplataforma para controle remoto de residências
A Amory, JP Júnior, FG Moraes
Trabalho de conclusão do curso de informática da PUCRS, 167, 2000
20*2000
An integrated method for implementing online fault detection in NoC-based MPSoCs
V Fochi, E Wächter, A Erichsen, AM Amory, FG Moraes
2015 IEEE International Symposium on Circuits and Systems (ISCAS), 1562-1565, 2015
192015
Distributed fault diagnosis for multiple mobile robots using an agent programming language
MG Morais, FR Meneguzzi, RH Bordini, AM Amory
2015 International Conference on Advanced Robotics (ICAR), 395-400, 2015
162015
Software-defined networking architecture for NoC-based many-cores
M Ruaro, HM Medina, AM Amory, FG Moraes
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
152018
Transient-fault tolerant vhdl descriptions: A case-study for area overhead analysis
F Vargas, A Amory
Proceedings of the Ninth Asian Test Symposium, 417-422, 2000
152000
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20