Follow
Mojtaba Ebrahimi
Mojtaba Ebrahimi
Functional Safety Engineer, Robert Bosch GmbH
Verified email at bosch.com
Title
Cited by
Cited by
Year
Evaluation of hybrid memory technologies using SOT-MRAM for on-chip cache hierarchy
F Oboril, R Bishnoi, M Ebrahimi, MB Tahoori
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
246*2015
Ultra-fast and high-reliability SOT-MRAM: From cache replacement to normally-off computing
G Prenat, K Jabeur, P Vanhauwaert, G Di Pendina, F Oboril, R Bishnoi, ...
IEEE Transactions on Multi-Scale Computing Systems 2 (1), 49-60, 2016
1892016
SCFIT: A FPGA-based fault injection technique for SEU fault model
A Mohammadi, M Ebrahimi, A Ejlali, SG Miremadi
Proceedings of the Conference on Design, Automation and Test in Europe, 586-589, 2012
121*2012
Comprehensive analysis of sequential and combinational soft errors in an embedded processor
M Ebrahimi, A Evans, MB Tahoori, E Costenaro, D Alexandrescu, ...
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2015
120*2015
Layout-based modeling and mitigation of multiple event transients
M Ebrahimi, H Asadi, R Bishnoi, MB Tahoori
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
117*2016
Low-Cost Multiple Bit Upset Correction in SRAM-Based FPGA Configuration Frames
M Ebrahimi, PMB Rao, R Seyyedi, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (3), 932-943, 2016
91*2016
Read disturb fault detection in STT-MRAM
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
2014 International Test Conference, 1-7, 2014
872014
Avoiding unnecessary write operations in STT-MRAM for low power implementation
R Bishnoi, F Oboril, M Ebrahimi, MB Tahoori
Fifteenth International Symposium on Quality Electronic Design, 548-553, 2014
732014
Improving write performance for STT-MRAM
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
IEEE Transactions on Magnetics 52 (8), 1-11, 2016
712016
Aging-aware logic synthesis
M Ebrahimi, F Oboril, S Kiamehr, MB Tahoori
Proceedings of the International Conference on Computer-Aided Design, 61-68, 2013
702013
CEP: correlated error propagation for hierarchical soft error analysis
L Chen, M Ebrahimi, MB Tahoori
Journal of Electronic Testing 29 (2), 143-158, 2013
652013
Aging mitigation in memory arrays using self-controlled bit-flipping technique
A Gebregiorgis, M Ebrahimi, S Kiamehr, F Oboril, S Hamdioui, ...
The 20th Asia and South Pacific Design Automation Conference, 231-236, 2015
502015
Asynchronous asymmetrical write termination (AAWT) for a low power STT-MRAM
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
Proceedings of the conference on Design, Automation & Test in Europe, 180, 2014
452014
Low-cost scan-chain-based technique to recover multiple errors in TMR systems
M Ebrahimi, SG Miremadi, H Asadi, M Fazeli
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (8 …, 2013
45*2013
Class: Combined logic and architectural soft error sensitivity analysis
M Ebrahimi, L Chen, H Asadi, MB Tahoori
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC), 601-607, 2013
452013
Temperature-aware dynamic voltage scaling to improve energy efficiency of near-threshold computing
S Kiamehr, M Ebrahimi, MS Golanbari, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (7), 2017
40*2017
Fault injection acceleration by architectural importance sampling
M Ebrahimi, N Sayed, M Rashvand, MB Tahoori
2015 International Conference on Hardware/Software Codesign and System …, 2015
342015
Self-timed read and write operations in STT-MRAM
R Bishnoi, F Oboril, M Ebrahimi, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (5 …, 2015
322015
Improving reliability, performance, and energy efficiency of STT-MRAM with dynamic write latency
A Ahari, M Ebrahimi, F Oboril, M Tahoori
2015 33rd IEEE International Conference on Computer Design (ICCD), 109-116, 2015
272015
Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths
L Chen, M Ebrahimi, MB Tahoori
Journal of Electronic Testing 31 (2), 193-206, 2015
27*2015
The system can't perform the operation now. Try again later.
Articles 1–20