Suivre
Luca Piccolboni
Luca Piccolboni
Adresse e-mail validée de columbia.edu
Titre
Citée par
Citée par
Année
Agile SoC development with open ESP
P Mantovani, D Giri, G Di Guglielmo, L Piccolboni, J Zuckerman, EG Cota, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
1012020
COSMOS: Coordination of high-level synthesis and memory optimization for hardware accelerators
L Piccolboni, P Mantovani, G Di Guglielmo, LP Carloni
ACM Transactions on Embedded Computing Systems (TECS) 16 (5s), 1-22, 2017
572017
CRYLOGGER: Detecting Crypto Misuses Dynamically
L Piccolboni, G Di Guglielmo, LP Carloni, S Sethumadhavan
IEEE Symposium on Security and Privacy (S&P), 2021
412021
Efficient control-flow subgraph matching for detecting hardware trojans in RTL models
L Piccolboni, A Menon, G Pravadelli
ACM Transactions on Embedded Computing Systems (TECS) 16 (5s), 1-19, 2017
362017
PAGURUS: Low-overhead dynamic information flow tracking on loosely coupled accelerators
L Piccolboni, G Di Guglielmo, LP Carloni
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
212018
Broadening the exploration of the accelerator design space in embedded scalable platforms
L Piccolboni, P Mantovani, G Di Guglielmo, LP Carloni
High Performance Extreme Computing Conference (HPEC), 2017 IEEE, 1-7, 2017
212017
Simplified stimuli generation for scenario and assertion based verification
L Piccolboni, G Pravadelli
2014 15th Latin American Test Workshop-LATW, 1-6, 2014
122014
Teaching heterogeneous computing with system-level design methods
LP Carloni, EG Cota, GD Guglielmo, D Giri, J Kwon, P Mantovani, ...
Proceedings of the Workshop on Computer Architecture Education, 1-8, 2019
102019
MasterMind: Many-accelerator SoC architecture for real-time brain-computer interfaces
G Eichler, L Piccolboni, D Giri, LP Carloni
2021 IEEE 39th International Conference on Computer Design (ICCD), 101-108, 2021
92021
Kairos: Incremental verification in high-level synthesis through latency-insensitive design
L Piccolboni, G Di Guglielmo, LP Carloni
2019 Formal Methods in Computer Aided Design (FMCAD), 105-109, 2019
52019
HARDROID: Transparent Integration of Crypto Accelerators in Android
L Piccolboni, G Di Guglielmo, S Sethumadhavan, LP Carloni
High Performance Extreme Computing Conference (HPEC), 2021 IEEE, 1-8, 2021
42021
A parallelizable approach for mining likely invariants
A Danese, L Piccolboni, G Pravadelli
2015 International Conference on Hardware/Software Codesign and System …, 2015
42015
Accelerators & security: The socket approach
L Piccolboni, D Giri, LP Carloni
IEEE Computer Architecture Letters 21 (2), 65-68, 2022
32022
Scaling Up Hardware Accelerator Verification using A-QED with Functional Decomposition
S Chattopadhyay, F Lonsing, L Piccolboni, D Soni, P Wei, X Zhang, ...
Formal Methods in Computer-Aided Design (FMCAD), 42-52, 2021
32021
Mangrove: an Inference-based Dynamic Invariant Mining for GPU Architectures
N Bombieri, F Busato, A Danese, L Piccolboni, G Pravadelli
IEEE Transactions on Computers, 2020
22020
Exploiting GPU architectures for dynamic invariant mining
N Bombieri, F Busato, A Danese, L Piccolboni, G Pravadelli
2015 33rd IEEE International Conference on Computer Design (ICCD), 192-195, 2015
22015
Multi-Functional Interfaces for Accelerators
L Piccolboni
Columbia University, 2022
12022
Securing Accelerators with Dynamic Information Flow Tracking
L Piccolboni, G Di Guglielmo, L Carloni
https://arxiv.org/abs/1903.06801, 2019
12019
14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration
MC Dos Santos, T Jia, J Zuckerman, M Cochet, D Giri, EJ Loscalzo, ...
2024 IEEE International Solid-State Circuits Conference (ISSCC) 67, 262-264, 2024
2024
A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration
MC Dos Santos, T Jia, J Zuckerman, M Cochet, D Giri, E Loscalzo, ...
IEEE International Solid-State Circuits Conference, 2024
2024
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20