Suivre
Robert  M. Radway
Robert M. Radway
Ph.D. Candidate, Electrical Engineering, Stanford University
Adresse e-mail validée de stanford.edu - Page d'accueil
Titre
Citée par
Citée par
Année
High-Density Multiple Bits-per-Cell 1T4R RRAM Array with Gradual SET/RESET and its Effectiveness for Deep Learning
ER Hsieh, M Giordano, B Hodson, A Levy, SK Osekowsky, RM Radway, ...
502019
CHIMERA: A 0.92 TOPS, 2.2 TOPS/W edge AI accelerator with 2 MByte on-chip foundry resistive RAM for efficient training and inference
M Giordano, K Prabhu, K Koul, RM Radway, A Gural, R Doshi, ZF Khan, ...
2021 symposium on VLSI circuits, 1-2, 2021
492021
14.3 A 43pJ/cycle non-volatile microcontroller with 4.7 μs shutdown/wake-up integrating 2.3-bit/cell resistive RAM and resilience techniques
TF Wu, BQ Le, R Radway, A Bartolo, W Hwang, S Jeong, H Li, P Tandon, ...
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 226-228, 2019
38*2019
RADAR: A fast and energy-efficient programming technique for multiple bits-per-cell RRAM arrays
BQ Le, A Levy, TF Wu, RM Radway, ER Hsieh, X Zheng, M Nelson, ...
IEEE Transactions on Electron Devices 68 (9), 4397-4403, 2021
342021
CHIMERA: A 0.92-TOPS, 2.2-TOPS/W edge AI accelerator with 2-MByte on-chip foundry resistive RAM for efficient training and inference
K Prabhu, A Gural, ZF Khan, RM Radway, M Giordano, K Koul, R Doshi, ...
IEEE Journal of Solid-State Circuits 57 (4), 1013-1026, 2022
292022
Four-bits-per-memory one-transistor-and-eight-resistive-random-access-memory (1T8R) array
ER Hsieh, X Zheng, BQ Le, YC Shih, RM Radway, M Nelson, S Mitra, ...
IEEE Electron Device Letters 42 (3), 335-338, 2021
272021
The future of hardware technologies for computing: N3XT 3D MOSAIC, illusion scaleup, co-design
RM Radway, K Sethi, WC Chen, J Kwon, S Liu, TF Wu, E Beigne, ...
2021 IEEE International Electron Devices Meeting (IEDM), 25.4. 1-25.4. 4, 2021
152021
Illusion of large on-chip memory by networked computing chips for neural network inference
RM Radway, A Bartolo, PC Jolly, ZF Khan, BQ Le, P Tandon, TF Wu, ...
Nature Electronics 4 (1), 71-80, 2021
152021
Three-dimensional stacked neural network accelerator architectures for AR/VR applications
L Yang, RM Radway, YH Chen, TF Wu, H Liu, E Ansari, V Chandra, ...
IEEE Micro 42 (6), 116-124, 2022
102022
Heterogeneous 3D nano-systems: The N3XT approach?
D Rich, A Bartolo, C Gilardo, B Le, H Li, R Park, RM Radway, ...
NANO-CHIPS 2030: On-Chip AI for an Efficient Data-Driven World, 127-151, 2020
52020
Foundry Monolithic 3D BEOL Transistor+ Memory Stack: ISO-Performance and Iso-Footprint BEOL Carbon Nanotube FET+ RRAM vs. FEOL Silicon FET+ RRAM
T Srimani, AC Yu, RM Radway, DT Rich, M Nelson, S Wong, D Murphy, ...
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2023
22023
GaN DEVICES FABRICATED VIA WAFER BONDING
RM Radway, TA Palacios
US Patent App. 15/489,083, 2017
22017
PBA: Percentile-Based Level Allocation for Multiple-Bits-Per-Cell RRAM
A Wei, A Levy, P Yi, RM Radway, P Raina, S Mitra, S Achour
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-9, 2023
12023
Thermal Scaffolding for Ultra-Dense 3D Integrated Circuits
D Rich, A Kasperovich, M Malakoutian, RM Radway, S Hagiwara, ...
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
12023
Ultra-Dense 3D Physical Design Unlocks New Architectural Design Points with Large Benefits
T Srimani, RM Radway, J Kim, K Prabhu, D Rich, C Gilardi, P Raina, ...
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
12023
Near junction thermal management of GaN HEMTs via wafer bonding
RM Radway
Massachusetts Institute of Technology, 2017
12017
8-bit Transformer Inference and Fine-tuning for Edge Accelerators
J Yu, K Prabhu, Y Urman, RM Radway, E Han, P Raina
Proceedings of the 29th ACM International Conference on Architectural …, 2024
2024
N3XT 3D Technology Foundations and Their Lab-to-Fab: Omni 3D Logic, Logic+ Memory Ultra-Dense 3D, 3D Thermal Scaffolding
T Srimani, A Bechdolt, S Choi, C Gilardi, A Kasperovich, S Li, Q Lin, ...
2023 International Electron Devices Meeting (IEDM), 1-4, 2023
2023
Testbench on a Chip: A Yield Test Vehicle for Resistive Memory Devices
LR Upton, G Lallement, MD Scott, J Taylor, RM Radway, D Rich, ...
2023 24th International Symposium on Quality Electronic Design (ISQED), 1-7, 2023
2023
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–19