Suivre
Paul Franzon
Paul Franzon
Professor of Electrical and Computer Engineering, North Carolina State University
Adresse e-mail validée de ncsu.edu - Page d'accueil
Titre
Citée par
Citée par
Année
Exascale computing study: Technology challenges in achieving exascale systems
K Bergman, S Borkar, D Campbell, W Carlson, W Dally, M Denneau, ...
Defense Advanced Research Projects Agency Information Processing Techniques …, 2008
15412008
Demystifying 3D ICs: The pros and cons of going vertical
WR Davis, J Wilson, S Mick, J Xu, H Hua, C Mineo, AM Sule, M Steer, ...
IEEE design & test of computers 22 (6), 498-510, 2005
12552005
A review of 3-D packaging technology
SF Al-Sarawi, D Abbott, PD Franzon
IEEE Transactions on Components, Packaging, and Manufacturing Technology …, 1998
5171998
FreePDK: An open-source variation-aware design kit
JE Stine, I Castellanos, M Wood, J Henson, F Love, WR Davis, ...
2007 IEEE international conference on Microelectronic Systems Education (MSE …, 2007
4702007
Molecular electronics: From devices and interconnect to circuits and architecture
MR Stan, PD Franzon, SC Goldstein, JC Lach, MM Ziegler
Proceedings of the IEEE 91 (11), 1940-1957, 2003
3722003
The electronics handbook
JC Whitaker, MH Kryder, JF Shackelford, VK Tripathi, G DeSantis, ...
Crc Press, 2018
3682018
An electronically tunable microstrip bandpass filter using thin-film Barium-Strontium-Titanate (BST) varactors
J Nath, D Ghosh, JP Maria, AI Kingon, W Fathelbab, PD Franzon, ...
IEEE transactions on microwave theory and techniques 53 (9), 2707-2712, 2005
3512005
Controllable molecular modulation of conductivity in silicon-based devices
T He, DA Corley, M Lu, NHD Spigna, J He, DP Nackashi, PD Franzon, ...
Journal of the American Chemical Society 131 (29), 10023-10030, 2009
2712009
Design and CAD for 3D integrated circuits
PD Franzon, WR Davis, MB Steer, S Lipa, EC Oh, T Thorolfsson, ...
Proceedings of the 45th annual Design Automation Conference, 668-673, 2008
2482008
Multichip module technologies and alternatives: the basics
DA Doane, P Franzon
Springer Science & Business Media, 2013
2352013
Nanocell logic gates for molecular computing
JM Tour, WL Van Zandt, CP Husband, SM Husband, LS Wilson, ...
IEEE transactions on Nanotechnology 1 (2), 100-109, 2002
2152002
Overview of RFID technology and its applications in the food industry
P Kumar, HW Reinitz, J Simunovic, KP Sandeep, PD Franzon
Journal of food science 74 (8), R101-R106, 2009
2112009
Nanocell electronic memories
JM Tour, L Cheng, DP Nackashi, Y Yao, AK Flatt, SK St. Angelo, ...
Journal of the American Chemical Society 125 (43), 13279-13283, 2003
1862003
Demystifying surrogate modeling for circuits and systems
MB Yelten, T Zhu, S Koziel, PD Franzon, MB Steer
IEEE Circuits and Systems Magazine 12 (1), 45-63, 2012
1702012
Configurable string matching hardware for speeding up intrusion detection
M Aldwairi, T Conte, P Franzon
ACM SIGARCH Computer Architecture News 33 (1), 99-107, 2005
1702005
Energy consumption modeling and optimization for SRAM's
RJ Evans, PD Franzon
IEEE Journal of Solid-State Circuits 30 (5), 571-579, 1995
1531995
An engineered virus as a scaffold for three‐dimensional self‐assembly on the nanoscale
AS Blum, CM Soto, CD Wilson, TL Brower, SK Pollack, TL Schull, ...
Small 1 (7), 702-706, 2005
1482005
Controlled modulation of conductance in silicon devices by molecular monolayers
T He, J He, M Lu, B Chen, H Pang, WF Reus, WM Nolte, DP Nackashi, ...
Journal of the American Chemical Society 128 (45), 14537-14541, 2006
1362006
A compact dielectric elastomer tubular actuator for refreshable Braille displays
P Chakraborti, HAK Toprakci, P Yang, N Di Spigna, P Franzon, T Ghosh
Sensors and Actuators A: Physical 179, 151-157, 2012
1352012
3 Gb/s AC coupled chip-to-chip communication using a low swing pulse receiver
L Luo, JM Wilson, SE Mick, J Xu, L Zhang, PD Franzon
IEEE Journal of Solid-State Circuits 41 (1), 287-296, 2005
1302005
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20