Suivre
Roel Meeuws
Titre
Citée par
Citée par
Année
DWARV 2.0: A CoSy-based C-to-VHDL hardware compiler
R Nane, VM Sima, B Olivier, R Meeuws, Y Yankova, K Bertels
22nd International Conference on Field Programmable Logic and Applications …, 2012
1262012
A quantitative prediction model for hardware/software partitioning
R Meeuws, Y Yankova, K Bertels, G Gaydadjiev, S Vassiliadis
2007 International Conference on Field Programmable Logic and Applications …, 2007
362007
Quad–a memory access pattern analyser
SA Ostadzadeh, RJ Meeuws, C Galuzzi, K Bertels
Reconfigurable Computing: Architectures, Tools and Applications: 6th …, 2010
322010
Automated hdl generation: Comparative evaluation
Y Yankova, K Bertels, S Vassiliadis, R Meeuws, A Virginia
2007 IEEE International Symposium on Circuits and Systems, 2750-2753, 2007
312007
High level quantitative hardware prediction modeling using statistical methods
R Meeuws, C Galuzzi, K Bertels
2011 International Conference on Embedded Computer Systems: Architectures …, 2011
252011
A self-adaptive on-line task placement algorithm for partially reconfigurable systems
Y Lu, T Marconi, G Gaydadjiev, K Bertels, R Meeuws
2008 IEEE International Symposium on Parallel and Distributed Processing, 1-8, 2008
212008
A multipurpose clustering algorithm for task partitioning in multicore reconfigurable systems
SA Ostadzadeh, RJ Meeuws, K Sigdel, K Bertels
2009 International Conference on Complex, Intelligent and Software Intensive …, 2009
162009
A quantitative model for hardware/software partitioning
RJ Meeuws
Master's thesis, DelftUniversity of Technology, Delft, Netherlands, Delft …, 2007
132007
Quipu: A statistical model for predicting hardware resources
R Meeuws, SA Ostadzadeh, C Galuzzi, VM Sima, R Nane, K Bertels
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 6 (1), 1-25, 2013
122013
Hartes toolchain early evaluation: Profiling, Compilation and HDL generation
K Bertels, G Kuzmanov, EM Panainte, G Gaydadjiev, Y Yankova, ...
2007 International Conference on Field Programmable Logic and Applications …, 2007
122007
Algorithms for the automatic extension of an instruction-set
C Galuzzi, D Theodoropoulos, R Meeuws, K Bertels
2009 Design, Automation & Test in Europe Conference & Exhibition, 548-553, 2009
112009
High level quantitative interconnect estimation for early design space exploration
R Meeuws, K Sigdel, Y Yankova, K Bertels
2008 International Conference on Field-Programmable Technology, 317-320, 2008
112008
A clustering framework for task partitioning based on function-level data usage analysis
SA Ostadzadeh, RJ Meeuws, K Sigdel, K Bertels
Proceedings of the ACM/SIGDA international symposium on Field programmable …, 2009
72009
The SimpleScalar Instruction Tool (SSIT) and the SimpleScalar Architecture Tool (SSAT)
B Juurlink, D Borodin, RJ Meeuws, GT Aalbers, H Leisink
Available via, 2007
72007
The Q2 Profiling Framework: Driving Application Mapping for Heterogeneous Reconfigurable Platforms
SA Ostadzadeh, R Meeuws, I Ashraf, C Galuzzi, K Bertels
Reconfigurable Computing: Architectures, Tools and Applications: 8th …, 2012
62012
DRuiD: Designing reconfigurable architectures with decision-making support
G Mariani, G Palermo, R Meeuws, VM Sima, C Silvano, K Bertels
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 213-218, 2014
52014
A Profiling Framework for Design Space Exploration in Heterogeneous System Context
K Sigdel, R Meeuws, K Bertels
Proc. Of Prorisc Conference, Netherland, 6-12, 2007
52007
Profiling, compilation, and hdl generation within the hartes project
K Bertels, G Kuzmanov, EM Panainte, GN Gaydadjiev, YD Yankova, ...
FPGAs and Reconfigurable Systems: Adaptive Heterogeneous Systems-on-Chip and …, 2007
52007
Quantitative Hardware Prediction Modeling for Hardware/Software Co-design
R Meeuws
Delft University of Technology, 2012
42012
Advanced profiling of applications for heterogeneous multi-core platforms
K Bertels, SA Ostadzadeh, RJ Meeuws
Proceedings of the International Conference on Engineering of Reconfigurable …, 2011
42011
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20