Suivre
Vasilis F. Pavlidis
Vasilis F. Pavlidis
Computer Science and University of Manchester
Adresse e-mail validée de cs.man.ac.uk - Page d'accueil
Titre
Citée par
Citée par
Année
3-D topologies for networks-on-chip
VF Pavlidis, EG Friedman
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 15 (10 …, 2007
5932007
Three-dimensional integrated circuit design
VF Pavlidis, EG Friedman
Morgan Kaufmann Pub, 2009
465*2009
Three-dimensional integrated circuits
VF Pavlidis, EG Friedman
Burlington, MA: Morgan Kaufmann, 2009
464*2009
Performance analysis of 3-D monolithic integrated circuits
S Bobba, A Chakraborty, O Thomas, P Batude, VF Pavlidis, G De Micheli
2010 IEEE International 3D Systems Integration Conference (3DIC), 1-4, 2010
2342010
Interconnect-based design methodologies for three-dimensional integrated circuits
VF Pavlidis, EG Friedman
Proceedings of the IEEE 97 (1), 123-140, 2009
1552009
Clock distribution networks for 3-D integrated Circuits
VF Pavlidis, I Savidis, EG Friedman
Custom Integrated Circuits Conference, 2008. CICC 2008. IEEE, 651-654, 2008
802008
Clock distribution networks in 3-D integrated systems
VF Pavlidis, I Savidis, EG Friedman
IEEE Transactions on very large scale integration (VLSI) systems 19 (12 …, 2011
602011
Analytical heat transfer model for thermal through-silicon vias
H Xu, VF Pavlidis, G De Micheli
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011, 1-6, 2011
512011
Miniaturized SIW Bandpass Filter Based on TSV Technology for THz Applications
F Wang, VF Pavlidis, N Yu
IEEE Transactions on Terahertz Science and Technology, 2020
432020
Interconnect delay minimization through interlayer via placement in 3-D ICs
VF Pavlidis, EG Friedman
Proceedings of the 15th ACM Great Lakes symposium on VLSI, 20-25, 2005
372005
Nanoscale Room-Temperature Multilayer Skyrmionic Synapse for Deep Spiking Neural Networks
R Chen, C Li, Y Li, JJ Miles, G Indiveri, S Furber, VF Pavlidis, C Moutafis
Physical Review Applied 14 (1), 014096, 2020
312020
An Overview of Thermal Challenges and Opportunities for Monolithic 3D ICs
P Shukla, AK Coskun, VF Pavlidis, E Salman
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 439-444, 2019
272019
A novel framework for exploring 3-D FPGAs with heterogeneous interconnect fabric
K Siozios, VF Pavlidis, D Soudris
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 5 (1), 4, 2012
272012
Monolithic 3D Integrated Circuits: Recent Trends and Future Prospects
K Dhananjay, P Shukla, VF Pavlidis, A Coskun, E Salman
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (3), 837-843, 2021
262021
Power distribution paths in 3-D ICS
VF Pavlidis, G De Micheli
Proceedings of the 19th ACM Great Lakes symposium on VLSI, 263-268, 2009
262009
Effect of process variations in 3D global clock distribution networks
H Xu, VF Pavlidis, G De Micheli
ACM Journal on Emerging Technologies in Computing Systems (JETC) 8 (3), 20, 2012
202012
A software-supported methodology for designing high-performance 3D FPGA architectures
K Siozios, K Sotiriadis, VF Pavlidis, D Soudris
2007 IFIP International Conference on Very Large Scale Integration, 54-59, 2007
202007
Skyrmionic interconnect device
R Chen, Y Li, VF Pavlidis, C Moutafis
Physical Review Research 2 (4), 043312, 2020
192020
The combined effect of process variations and power supply noise on clock skew and jitter
H Xu, VF Pavlidis, W Burleson, G De Micheli
Quality Electronic Design (ISQED), 2012 13th International Symposium on, 320-327, 2012
192012
The MTA: An Advanced and Versatile Thermal Simulator for Integrated Systems
S Ladenheim, YC Chen, M Mihajlović, VF Pavlidis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
182018
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20