Suivre
Roman Lysecky
Titre
Citée par
Citée par
Année
Are commercially implemented adaptive cruise control systems string stable?
G Gunter, D Gloudemans, RE Stern, S McQuade, R Bhadani, M Bunting, ...
IEEE Transactions on Intelligent Transportation Systems 22 (11), 6992-7003, 2020
2452020
Dynamic hardware/software partitioning: A first approach
G Stitt, R Lysecky, F Vahid
Proceedings of the 40th annual Design Automation Conference, 250-255, 2003
2082003
Security challenges for medical devices
J Sametinger, J Rozenblit, R Lysecky, P Ott
Communications of the ACM 58 (4), 74-82, 2015
1972015
Warp processors
R Lysecky, G Stitt, F Vahid
ACM Transactions on Design Automation of Electronic Systems (TODAES) 11 (3 …, 2004
1912004
A self-tuning cache architecture for embedded systems
C Zhang, F Vahid, R Lysecky
ACM Transactions on Embedded Computing Systems (TECS) 3 (2), 407-425, 2004
1822004
A study of the speedups and competitiveness of FPGA soft processor cores using dynamic hardware/software partitioning
R Lysecky, F Vahid
Design, Automation and Test in Europe, 18-23, 2005
1522005
Warp processing: Dynamic translation of binaries to FPGA circuits
F Vahid, G Stitt, R Lysecky
Computer 41 (7), 40-46, 2008
1142008
A configurable logic architecture for dynamic hardware/software partitioning
R Lysecky, F Vahid
Proceedings Design, Automation and Test in Europe Conference and Exhibition …, 2004
922004
Dynamic FPGA routing for just-in-time FPGA compilation
R Lysecky, F Vahid, SXD Tan
Proceedings of the 41st annual design automation conference, 954-959, 2004
882004
Student performance improvement using interactive textbooks: A three-university cross-semester analysis
AD Edgcomb, F Vahid, R Lysecky, A Knoesen, R Amirtharajah, ML Dorf
2015 ASEE Annual Conference & Exposition, 26.1423. 1-26.1423. 17, 2015
872015
Application-specific customization of parameterized FPGA soft-core processors
D Sheldon, R Kumar, R Lysecky, F Vahid, D Tullsen
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
702006
Design and implementation of a MicroBlaze-based warp processor
R Lysecky, F Vahid
ACM Transactions on Embedded Computing Systems (TECS) 8 (3), 1-22, 2009
632009
Firm-core virtual FPGA for just-in-time FPGA compilation
RL Lysecky, K Miller, F Vahid, KA Vissers
FPGA, 271, 2005
572005
Probabilistic threat detection for risk management in cyber-physical medical systems
A Rao, N Carreón, R Lysecky, J Rozenblit
IEEE Software 35 (1), 38-43, 2017
532017
Getting students to earnestly do reading, studying, and homework in an introductory programming class
A Edgcomb, F Vahid, R Lysecky, S Lysecky
Proceedings of the 2017 ACM SIGCSE Technical Symposium on Computer Science …, 2017
522017
On-chip logic minimization
R Lysecky, F Vahid
Proceedings of the 40th annual Design Automation Conference, 334-337, 2003
502003
Workload assignment considering NBTI degradation in multicore systems
J Sun, R Lysecky, K Shankar, A Kodi, A Louri, J Roveda
ACM Journal on Emerging Technologies in Computing Systems (JETC) 10 (1), 1-22, 2014
362014
Python versus c++ an analysis of student struggle on small coding exercises in introductory programming courses
N Alzahrani, F Vahid, A Edgcomb, K Nguyen, R Lysecky
Proceedings of the 49th ACM Technical Symposium on Computer Science …, 2018
352018
System and method for authoring content for web viewable textbook data object
F Vahid, S Bakshi, J Yuen, D De Haas, S Strawn, A Edgcomb, R Lysecky, ...
US Patent App. 14/603,229, 2015
352015
A study of the scalability of on-chip routing for just-in-time FPGA compilation
R Lysecky, F Vahid, SDX Tan
13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines …, 2005
352005
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20